CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 正弦波 方波 三角波

搜索资源列表

  1. mdiFFT

    0下载:
  2. FFT 频域变化并显示 方波 三角波 正弦 及随机序列 -FFT frequency domain shows changes sine square and triangular wave random sequence
  3. 所属分类:波变换

    • 发布日期:2008-10-13
    • 文件大小:88859
    • 提供者:姜小明
  1. Text1

    0下载:
  2. 此源码功能是波形发生器,包括主程序和各项子程序,可产生正弦波,三角波,方波,锯齿波.
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:1794
    • 提供者:王然
  1. dac0832driver

    0下载:
  2. 基于DAC0832的多功能波形发生器,可以输出正弦波,方波,锯齿波,三角波
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:3567
    • 提供者:xuhua
  1. DDS

    0下载:
  2. 用8051控制DDS信号发生器,产生1HZ-10MHz的正弦波/三角波/方波
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:43770
    • 提供者:徐小平
  1. 波形发生器VHDL语言

    0下载:
  2. 能产生正弦波,三角波,方波,阶梯波,并且频率可调..
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2009-03-25
    • 文件大小:417860
    • 提供者:519201374
  1. learn_dds.基于quartus ii 9.0的简易dds波形发生器

    2下载:
  2. 基于quartus ii 9.0的简易dds波形发生器,可以产生正弦,方波,三角波,可变幅,可变频。非常适合学习使用,使用时请按自己的芯片和引脚设置,Quartus ii 9.0 Based on dds simple waveform generator can produce sine, square, triangle wave can be amplitude, frequency can be. Very suitable for learning to use, when used
  3. 所属分类:VHDL编程

    • 发布日期:2016-04-29
    • 文件大小:732127
    • 提供者:陈东旭
  1. wave.rar

    0下载:
  2. 本程序采用的VHDL语言,分别实现:递增锯齿波递减锯齿波 三角波 阶梯波 方波正弦波 数据选择器.,This procedure used in VHDL language, respectively, to achieve: increased sawtooth ladder descending sawtooth wave square wave triangle wave sine wave data selector.
  3. 所属分类:Graph Drawing

    • 发布日期:2017-04-01
    • 文件大小:1369
    • 提供者:程冬水
  1. pinpu

    0下载:
  2. 通信原理实验系统,通过对正弦、方波三角波进行频谱分析,在观察他们的品普及,对此进行GUI设计,整个界面简洁美观-Communication theory experimental system, through the sine, square, triangle wave spectrum analysis, observation of their products in the popularity of this in GUI design, the interface is simpl
  3. 所属分类:Wavelet

    • 发布日期:2017-03-29
    • 文件大小:3212
    • 提供者: 趁黑
  1. DDS

    0下载:
  2. 1、 能够产生正弦波、方波、三角波和锯齿波四种波形;AD9850产生正弦波,单片机控制DA产生正弦波,三角波,锯齿波,方波。 2、 可手动设置输出频率,提供扫频输出功能; 3、 方波:提供PWM波输出,及占空比可调(可调范围2 ~98 ,步进为2 )。 4、 可以通过键盘输入控制单片机产生的波形,在液晶上显示此时输出的波形和频率; 5、 输出频率和手动输入频率误差很小,较高频率的无失真波形。 -the function creat sine wave,trangle wave
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-25
    • 文件大小:14691
    • 提供者:苏诗卧龙
  1. DDS

    0下载:
  2. 我们小组共了一个月做的DDS,程序核心用的是Verilog HDL,有仿真波形,输出正弦波,方波,及三角波,步进可调.频率范围1HZ--10MHZ-Our group for a month to do a total of DDS, the procedure is used in the core of Verilog HDL, there are simulation waveform, the output sine wave, square wave and triangular wa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:117375
    • 提供者:tiancheng
  1. microds

    0下载:
  2. 1、 能够产生正弦波、方波、三角波和锯齿波四种波形;AD9850产生正弦波,单片机控制DA产生正弦波,三角波,锯齿波,方波。 2、 可手动设置输出频率,提供扫频输出功能; 3、 方波:提供PWM波输出,及占空比可调(可调范围2 ~98 ,步进为2 )。 4、 可以通过键盘输入控制单片机产生的波形,在液晶上显示此时输出的波形和频率; 5、 输出频率和手动输入频率误差很小,较高频率的无失真波形。 -can creat sine wave,saw wave,trangle wave
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:55531
    • 提供者:苏诗卧龙
  1. 51DDS

    0下载:
  2. 用51单片机模拟dds产生正弦波,方波,三角波,幅度频率可控。-Dds generated using 51 MCU simulation sine, square, triangle wave, amplitude frequency control.
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:26135
    • 提供者:王风存
  1. book

    0下载:
  2. 数字示波器 可以自动获取捕捉正弦波 余弦波 三角波 方波 锯齿波等 各种波形 并自动转化为数字形式在LED屏幕上显示-Digital oscilloscope can automatically obtain the capture cosine sine wave square wave triangle wave sawtooth waveform, etc. and automatically converted to digital form in the LED screen
  3. 所属分类:Other systems

    • 发布日期:2017-03-26
    • 文件大小:6599
    • 提供者:qinyang
  1. sin_c_program

    0下载:
  2. 简易正弦波\三角波\方波发生器 使用keil C51,12MHZ晶振,AT89C2051 -Simple sine wave square wave triangle wave generator using keil C51, 12MHZ crystal, AT89C2051
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:15800
    • 提供者:xian
  1. vhld_fpga_box

    0下载:
  2. Verilog 编写的波形发生器,可发生正弦波,三角波,方波,可以调频-Prepared Verilog waveform generator, can occur sine, triangle wave, square wave, you can FM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:267223
    • 提供者:ivan
  1. chenxu

    0下载:
  2. 各种波形产生器,包括方波,三角波,锯齿波,正弦波,且可调频调幅 -A variety of waveform generator, including square, triangle wave, sawtooth, sine, and can be FM-AM
  3. 所属分类:DSP program

    • 发布日期:2017-04-01
    • 文件大小:4244
    • 提供者:hulei198391
  1. vhdl_wave

    0下载:
  2. vhdl_波形信号发生器 产生不同的正弦 余弦 方波 三角波-waveform signal generator to generate sine cosine square wave triangle wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:51881
    • 提供者:zhanshen
  1. mifmaker2010

    0下载:
  2. 生成正弦波 方波 三角波。。。。。。。。(The sine wave wave triangle wave is generated)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-01-02
    • 文件大小:240640
    • 提供者:zzzzZZZz1
  1. DAC波形发生器(正弦,三角,方波)

    1下载:
  2. 使用STM32的dac生成正弦波、三角波、方波(Using the DAC of STM32 to generate sine wave, triangle wave and square wave)
  3. 所属分类:单片机开发

    • 发布日期:2020-12-11
    • 文件大小:2200576
    • 提供者:把酒醉清风
  1. AD9834两路正弦,三角,方波AD PCB

    0下载:
  2. AD9834两路正弦,三角,方波AD PCB源文件,非PDF,元件库一起打包
  3. 所属分类:硬件设计

« 1 23 4 5 6 7 8 9 10 ... 32 »
搜珍网 www.dssz.com